我要做個簡單的按鍵精靈9的指令碼,求大神幫忙,會做得應該很簡單

2021-03-26 22:09:53 字數 2266 閱讀 3316

1樓:金鬼平

keydown "a", 1

dokeypress "w", 1

delay 200

loop

複製**到按鍵精靈裡就行了

要s 就把w換成 s就行了

求大神幫忙做個按鍵精靈小指令碼

2樓:匿名使用者

追加100懸賞我寫給你。

3樓:巨蟹座淼淼

連結bai:du

密碼:

zhixjf8

直接dao

可以內用容

求個簡單的按鍵精靈9指令碼,2後視窗1前1後,高手幫幫忙。。50分送上

4樓:江河日月長

可以用單執行緒後臺指令碼重複啟動來多開或者用多執行緒多開指令碼,很簡單的!

怎麼做簡單的按鍵精靈求大神幫助

5樓:手機使用者

自己用按鍵精靈錄製都行。看來完全沒研究過久跑來問了。我怕我寫了你又問要怎麼用

求會用按鍵精靈9的大神幫幫忙.怎麼抓一個會動的圖?

6樓:匿名使用者

這個用找圖有一抄定難度,襲

你不防用找點找色的方法,計

bai算一du

下浮漂正常沉浮的速度,比

zhi如每三秒沉一次,那dao麼就3秒鐘判斷一次魚浮所在位置的顏色,跟上一次的顏色做比較,如果不一樣則判斷為有魚。這個是要看具體情況定的,我提供的只是個思路,解決的方法應該不只這一種,可以多跟別人交流一下,集思廣益啦·

找色要比找圖快·

7樓:秋風奏鳴曲

用找圖這個有抄難度啊。

bai。。。嚴格來說是做不了的du。

一定要做的,倒是有個思路zhi,不過不穩定,難調dao試。

先要找到你正常的頻率和快速的頻率,如果頻率相差不大,按快速的頻率做抓圖,如果兩次位置相同就可以定為快速,否則正常。

如果頻率相差很大,可以以正常頻率做抓圖,正常應每頻次都出現在同一位置,如果突然不同了,就認定為快速。如0.5秒一個上下,現在抓的圖在上,那麼0.

5秒後抓的圖也應在上,如果頻率變高了不同了0.5秒後抓的圖有可能在下,那就可以認定了,就算快速的剛好也在上,那麼第

二、三、四次抓圖總會沒那麼剛好的~

上邊這方法不穩定,考驗機器~除錯有一定難度~但是把做不到的事做到了。

8樓:死亡之翼一天羅

按鍵精靈 官方教程 有一章是找圖找色。你花5分鐘時間看一遍教程。就會了 簡單的很

求大神編個很簡單的組合鍵指令碼

9樓:匿名使用者

autoit指令碼:

#include

local $dll = dllopen("user32.dll")while 1

sleep(250)

if _ispressed("43", $dll) then ;c鍵按下

send("") ;e鍵和

c鍵一起按住

elseif _ispressed("51", $dll) then ;q鍵按下

exitloop ;退出迴圈

else ;c鍵彈起

send("") ;e鍵和c鍵都彈起

endif

wend

dllclose($dll)

用法:按住c鍵,指令碼會模專擬同時按住e鍵和c鍵。

鬆開屬c鍵,指令碼會模擬將e鍵和c鍵都彈起。

按下q鍵,退出指令碼。

按鍵精靈 求大神幫忙設計一個簡單的指令碼

10樓:小櫻桃

doa = waitkey()

if a=74 then

keypress "j", 10

end if

loop

11樓:匿名使用者

你這種要求的 我還真就沒用前臺寫過.....

求高手幫我做一個按鍵精靈,↓看要求,很簡單的

12樓:世界旋風

獲取視窗控制代碼

繫結控制代碼

標記 a

按鍵一次

延時500

跳轉 a

這就是思路,具體**自己學學吧,抄襲的話永遠不能進步

按鍵精靈9求後臺指令碼,按鍵精靈9求後臺指令碼

rem aaa call plugin.bkgnd.rightdown hwnd,0,0 delay 60000 call plugin.bkgnd.rightup hwnd,0,0 delay 200 call plugin.bkgnd.keypress hwnd,20 delay 200 cal...

求按鍵精靈的指令碼,求一個按鍵精靈的指令碼

定義一個變數,以確定按什麼鍵 i 0while true if i 0 按 1 keypress 49,1 延時,為了您能及時中止這個指令碼,延時大於2秒時,請用for 迴圈來處理 for 6 delay 1000 endfor elseif i 1 keypress 50,1 for 8 dela...

按鍵精靈寫個簡單指令碼,流程大概是這樣,謝謝

doa1 waitkey if a1 87 then keypress w 1 delay 30 keypress 1 keypress a 1 leftclick 1 elseif a1 83 then keypress s 1 delay 50 keypress 1 keypress a 1 l...