led彩燈控制器設計

2021-12-24 02:06:39 字數 1650 閱讀 7024

1樓:匿名使用者

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cdkz is

port(clk:in std_logic;

d:out std_logic_vector(7 downto 0));

end cdkz;

architecture art of cdkz issignal a:integer range 0 to 8;

signal b:integer range 0 to 3;

signal q,t:std_logic;

begin

process(clk)is

begin

if clk'event and clk='1'thenif a=8 then

a<=1;t<=not t;

if b=2 then

b<=0;

else

b<=b+1;

end if;

elsif a=0 then

a<=1;t<='1';

elsif t='1'then

a<=a+1;

elsif t='0'and q='1'thena<=a+1;q<=not q;

elsif t='0'and q='0'thenq<=not q;

end if;

end if;

end process;

d<="01010101"when a=1 and b=1 else"10101010"when a=2 and b=1 else"01010101"when a=3 and b=1 else"10101010"when a=4 and b=1 else"01010101"when a=5 and b=1 else"10101010"when a=6 and b=1 else"01010101"when a=7 and b=1 else"10101010"when a=8 and b=1 else"10000000"when a=1 and b=2 else"11000000"when a=2 and b=2 else"11100000"when a=3 and b=2 else"11110000"when a=4 and b=2 else"11111000"when a=5 and b=2 else"11111100"when a=6 and b=2 else"11111110"when a=7 and b=2 else"11111111"when a=8 and b=2 else"10000001"when a=1 and b=0 else"11000011"when a=2 and b=0 else"11100111"when a=3 and b=0 else"11111111"when a=4 and b=0 else"01111110"when a=5 and b=0 else"00111100"when a=6 and b=0 else"00011000"when a=7 and b=0 else"00000000"when a=8 and b=0;

end architecture art;

回答者: 四國天堂 - 試用期 一級 4-26 10:11

2樓:匿名使用者

用微控制器 proteus**

pid控制器怎麼設計

pid應用例項網上一搜一大把.實際原理是對被控物件進行校正改變系統主導極點.從而達到指定的相應速度.校正後系統為一二階系統.具體各引數對系統相應的影響建議參考 自動控制原理 一書中的二階系統時域相應特徵一章.若用現代控制理論狀態空間表示式分析,若是單輸入單輸出系統其結果與傳遞函式分析結果一致.其實現...

水位控制器,水泵控制器水位控制怎麼接線

ufk給排水控制器又稱浮球液位控制器最大特點 給水功能與排水功能可以在同臺控制器上實現,由使用者自由選擇。專利申請號 201320400692.x ufks 2給排水控制器是利用一個磁浮球即可控制二點開關訊號的液位控制器。在導管內的不同高度安裝有幹簧管,當磁浮球隨液位變化而上下浮動時,浮球內的磁鋼使...

我家客廳燈控制器壞了現換了12顆Led燈泡需用少W的控制器和搖控器

12顆led燈泡功率很小。不需要考慮控制器的功率大小,都可以。至於搖控器更不用考慮功率問題。遙控器和控制器只是的,沒事 救命啊大蝦們,家裡的led燈壞了,家裡人說是控制器壞了所以買了個控制器回來換,結果不知道是接錯線還 80 兩根粗線接電源,三根細線是一根火星兩根地線接燈,應該灰色的都是火線 下樓,...