數字積體電路和模擬積體電路呢哪個前景更好

2021-05-04 15:23:20 字數 5367 閱讀 4488

1樓:雪v歌

樓上說的是模擬/數位電路基礎,本科生專業基礎課.

而對於研究生在微電子類的緊進階學習來說,積體電路專業是必然會分數字和模擬的.

簡單來說,數字設計較模擬設計而言要簡單的多,主要靠eda工具對行為級描述進行綜合然後通過限定條件進行修改即可,版圖設計也相對簡單.

然而,業界中真正nb的是模擬設計,上手難度大,涉及的物理模型分析也需要較好的半導體物理基礎.

就用途而言,數字設計雖然遍佈日常生活的各個角落,但是由於人們平時所用到的全是模擬量,所以任何裝置都不可能是純數字的模組,即必然有模擬設計部分--這也是目前數模混合設計吃香的原因.

呃,要吃飯了,先說這些=.=!

2樓:橘子樹下

數模電和數模集是四門課 數位電路 類比電路 數字積體電路和模擬積體電路 前者兩門課 是所有(基本上)理工科基礎課 就不細說了。後者兩門是以mos管為最基礎的元器件 是電路專業學生最最最難也最重要的兩門課 並不是數模電qaq

鄙人為某郵電大學 積體電路設計與整合系統專業(電路專業)的一名學渣,本人認為模擬方向比數字方向重要,因為模擬難,,,所以模擬門檻比數字高。所以能學好模擬方向肯定比數字方向吃香,但是要學好模擬方向,下的功夫是數字方向的2倍或者更多,所以數字方向更加容易上手。另一個方面,之所以數字容易上手,因為數字多以兩個電平工作,而模擬訊號是很多的,所以模擬做出來的東西的可靠性比數字要低一些,所以數字吃得香,學好模擬的吃的更香。

現在也出現數模結合的方向 也比較全面

鄙人才疏學淺,如有不對,請大家指出。謝謝

一樓後面說的很好~

數字積體電路與模擬積體電路的功能及應用,區別有哪些

3樓:學雅思

模擬積體電路功能:輸入溫度、溼度、光學、壓電、聲電等各種感測器或天線採集的外界自然訊號,經過類比電路預處理後,轉為合適的數字訊號輸入到數字系統中。

模擬積體電路應用:以計算機和通訊技術為代表的高科技產品在國防科技、工業生產和日常生活中越來越廣泛的應用

數字積體電路功能:用於處理數字訊號的積體電路。

數字積體電路應用:的應用十分廣泛,所以都有標準化、系列化的積體電路產品,通常把這些產品叫做通用積體電路。

兩者區別如下:

一、指代不同

1、模擬積體電路:是由電容、電阻、電晶體等組成的類比電路整合在一起用來處理模擬訊號的積體電路。

2、數字積體電路:是將元器件和連線整合於同一半導體晶片上而製成的數字邏輯電路或系統。

二、分類不同

1、模擬積體電路:如運算放大器、模擬乘法器、鎖相環、電源管理晶片等。模擬積體電路的主要構成電路有:放大器、濾波器、反饋電路、基準源電路、開關電容電路等。

2、數字積體電路:數字積體電路分為小規模整合(ssi)電路、中規模整合msi電路、大規模整合(lsi)電路、超大規模整合vlsi電路和特大規模整合(ulsi)電路。

三、特點不同

1、模擬積體電路:基本電路包括電流源、單級放大器、濾波器、反饋電路、電流鏡電路等,由它們組成的高一層次的基本電路為運算放大器、比較器,更高一層的電路有開關電容電路、鎖相環、adc/dac等。根據輸出與輸入訊號之間的響應關係,又可以將模擬積體電路分為線性積體電路和非線性積體電路兩大類。

2、數字積體電路:是基於數字邏輯(布林代數)設計和執行的,用於處理數字訊號的積體電路。根據積體電路的定義,也可以將數字積體電路定義為:

將元器件和連線整合於同一半導體晶片上而製成的數字邏輯電路或系統。

4樓:匿名使用者

數字積體電路:主要是針對數字訊號處理的模組。如;計算機裡的2近制、8近制、10近制、16近制的資料進行處理的整合模組。

數字積體電路的執行以開關狀態經行運算,它的精度高適合複雜的計算。

模擬積體電路:主要是針對模擬訊號處理的模組。如;話筒裡的聲音訊號,電視訊號和vcd輸出的圖象訊號、溫度採集的模擬訊號和其它模擬量的訊號處理的整合模組。

模擬積體電路工作在電晶體的三角放大區。(1)電路處理的是連續變化的模擬量電訊號(即其幅值可以是任何值)。 (2)訊號的頻範圍往往從直流一直可以延伸到高頻段。

(3)模擬積體電路中的無器件種類多,除了數字積體電路中大量採用的npn管及電阻外,還採用了pnp管,場效應電晶體,高精度電阻等。 (4)除了應用於低電壓電器中的電路處,大多數模擬積體電路的電源電壓較高,輸出級模擬積體電路的電源電壓可達幾十伏以上。 (5)具有內繁外簡的電路形式。

充分發揮了積體電路的工藝特點和便於應用的特點。

5樓:匿名使用者

很簡單,數字積體電路是進行數字運算的,比如你的摸擬量要轉換成數字量,就需要模數轉換器進行轉換,然後才能進行數字運算和顯示。

摸擬積體電路是進行摸擬量放大的,如功率放大器,把小訊號放大成大訊號,訊號的幅度是成比例增大的。

6樓:匿名使用者

數字積體電路主要處理0、1兩種電平,是非線性變化的

模擬積體電路則是線性變化

模擬積體電路,數字積體電路,射頻積體電路,哪一個好就業,薪資高,發展前景好

7樓:匿名使用者

最好都懂。個人感覺數位電路今後會成為產品的核心部分,類比電路和射頻電路作為輔助電路也必不可少。

8樓:匿名使用者

目前首推 數字 是發展方向 但是獨木不成林

9樓:匿名使用者

物以稀為貴是任何社會亙古不變的道理。

從這個角度來看,以上三種難度越大,參與人越少,則前景越好。

如果要挑,射頻排第一,因為難度很大,沒幾個工程師能做的。

我不同意三樓的意見,數字積體電路相對門檻最低,也就意味著以後競爭越厲害。

10樓:陌若安生

貌似這三者是相輔相成的啊

學習模擬/數字積體電路設計,哪個比較有前途?(希望暢所欲言!)

11樓:匿名使用者

簡單來說,數字易上手,尤其是前端,本科生都可以做,這部分工作量大,但是我個人覺得是純苦力;人才需求量也比較大,好找工作,但是待遇一般。

模擬的話,相反,難度大,設計週期長,需要的實踐經驗比較多,因此這方面人才顯然比較稀缺,薪酬也要高得多。但是,由於對工程師要求高,可供選擇的職位要遠小於數字,一般如果是大牛的話會選擇模擬的~

另外前一陣子,和一個cadence的工程師聊天,他說目前除了模擬待遇好以外,數字後端人才也十分短缺~當然和模擬一樣,數字後端也需要非常多的實踐經驗。所以總而言之,要想待遇好,就要技術牛,而且是牛到掌握了一般不能隨隨便便就會的技術,而且工作會比較辛苦;當然如果熱愛這個領域,也許就不會覺得辛苦了吧~

需要學習的核心課程:數字/模擬積體電路設計,積體電路設計生產實踐(就是流片的課),積體電路生產管理,數字整合系統設計與高層次綜合,微電子學最新進展之類的,還有概率方面的課~

ps。我還是在校學生,以上都是通過各種渠道、關係瞭解到的,我自己也還沒體驗過很多(體驗過模擬設計。。。難~)~所以僅供參考~

12樓:匿名使用者

看見樓上說了那麼多...我也不多說了 有沒有前途是看人怎麼樣的 人有前途學什麼都有前途

模擬積體電路設計與數字積體電路設計哪個更好,兩者差距大嗎

13樓:匿名使用者

樓上抄說的是模擬/數位電路襲

基礎,本科生專業基礎課。

而對於研究生在微電子類的緊進階學習來說,積體電路專業是必然會分數字和模擬的。

簡單來說,數字設計較模擬設計而言要簡單的多,主要靠eda工具對行為級描述進行綜合然後通過限定條件進行修改即可,版圖設計也相對簡單。

然而,業界中真正nb的是模擬設計,上手難度大,涉及的物理模型分析也需要較好的半導體物理基礎。

就用途而言,數字設計雖然遍佈日常生活的各個角落,但是由於人們平時所用到的全是模擬量,所以任何裝置都不可能是純數字的模組,即必然有模擬設計部分--這也是目前數模混合設計吃香的原因。

呃,要吃飯了,先說這些=。=!

14樓:匿名使用者

差別大。兩者都是電子本科生的必修課。

其實工作後,用到的不多。但是基本概念的建立還是相當重要的。

從長遠的角度,以及綜合考慮,是選模擬積體電路ic設計還是數字積體電路ic設計好點?我現在處於抉擇之中!

15樓:匿名使用者

如果你都有興趣並且願意長期從事技術工作,我建議你兩種技術都鑽研,不可偏廢,對你將來是有利的。

一般來說數位電路設計進入門檻低,基本上有程式語言基礎就可以做了,但是也別以為數位電路設計就沒有經驗積累,數位電路可積累的設計經驗也很多,比如怎樣利用有限的設計資源完成更多的邏輯功能,怎樣開發和應用更先進的演算法都是值得不斷深入**的,也會成為你的寶貴經驗。

類比電路設計也並非經驗至上可以永遠吃老本的行當,現在模擬器件的發展趨勢也是兩個,其一是數字化,其二是模組化。你積累的很多經驗可能在實際應用中並不能得到發揮。但是不得不說,類比電路的發展確實要比數位電路慢很多很多,所以技術不容易過時。

如果你做的是特殊測量電路或者天線,那麼可積累的經驗相當深厚。

此外要特別提醒樓主的是,類比電路和數位電路的劃分,是學校學科的劃分,在工作中,這個行業一般是按照具體做的工作內容來劃分設計師類別,你做電源就是電源工程師,做fpga就是fpga工程師,並沒有類比電路設計師或者數位電路設計師這種說法。

16樓:風雷小草

從長遠看,搞數模混合才有錢途。需要數字也懂,模擬也懂,另外,軟體也要十分熟悉,所括arm彙編、8051彙編,以及c程式設計。

17樓:黑豹

數字技術取代(當然,不可能完全取代)模擬技術是大勢所趨,好產品正是靠「大量程式設計」來降低成本、簡化電路、提高可靠性。靠智慧賺錢,其樂融融。軟體行業經常通宵達旦地趕任務,需要精力旺盛,是說35、40歲退休來著,也是因為賺錢多多,可以早轉行。

當你能夠獨當一面時,年齡就不是問題,總設計師等著你做呢。

18樓:匿名使用者

我感覺還是做模擬ic比較好,畢竟數字現在做的都比較多,而模擬一旦入門,就是累計經驗,這是新手都無法比擬的

模擬積體電路與數字積體電路設計的差別

19樓:匿名使用者

模擬積體電路:主要是針對模擬訊號

處理的模組。如;話筒裡的聲音訊號,電視訊號和vcd輸出的圖象訊號、溫度採集的模擬訊號和其它模擬量的訊號處理的整合模組。

數字積體電路:主要是針對數字訊號處理的模組。如;計算機裡的2近制、8近制、10近制、16近制的資料進行處理的整合模組。

20樓:

模擬積體電路與數字積體電路設計差別很大,主要為以下方面:

1 用到的背景知識不同,數字目前主要是cmos邏輯設計,模擬的則偏向於實現某個功能的器件。

2 設計流程不同,數字積體電路設計輸入為rtl,模擬設計輸入為layout。

積體電路能否整合有電容的電路,積體電路里只有電阻還是有電感電容? 線上等!!!

目前集copy成電路內部可以整合容量比較小的磁片式電容,不過,這樣會帶 來成本的提高一般ic廠家不會這樣做,一般像電容,電感都要依靠周邊電路來完成,另外,像電感這種器件是屬於發熱型的,也不會被整合在ic內部 你說的雙金屬片式電容屬於電解電容,它的容量都比較大,一般是至少1uf以上 所以,這種電容都在...

功率積體電路與積體電路是什麼關係

功率積體電路是積體電路的一種。也就是積體電路包括功率基層電路。功率積體電路是一種微型電子器件或部件。採用一定的工藝,把一個電路中所需的電晶體 二極體 電阻 電容和電感等元件及佈線互連一起,製作在一小塊或幾小塊半導體晶片或介質基片上,然後封裝在一個管殼內,成為具有所需電路功能的微型結構 其中所有元件在...

專用積體電路設計,積體電路設計與整合系統專業屬於什麼門類

給你個全部專業 的介紹及就業方向的 不過你這個專業是沒有的,是不是 電子資訊工程啊,你是不是記錯了 積體電路設計與整合系統專業屬於什麼門類 積體電路設計與整合系統專業簡介 積體電路設計與整合系統專業是教育部根據 面向國家戰略需求 面向世界科技前沿 的方針,為適應資訊科技學科和資訊產業的發展趨勢,而最...